Top Banner

of 14

Laprak Decoder

Jan 07, 2016

Download

Documents

hasil laporan decoder
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript

Laporan Praktikum XIIPraktik Teknik DigitalDECODER

Disusun Oleh :Nama: Ahmadi FadillahKelas: P.T.Informatika E1NIM: 14520241020Dosen: Bekti Wulandari, M.Pd

PENDIDIKAN TEKNIK INFORMATIKA / TEKNIK ELEKTRONIKA

FAKULTAS TEKNIKUNIVERSITAS NEGERI YOGYAKARTA2014

DECODER

I. TUJUAN PERCOBAAN Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi dengan dosen dan mahasiswa lain dalam memahami IC Counter, 7 segmen display, decoder dan encoder.II. DASAR TEORIRangkaian Decoder adalah suatu rangkaian logika yang mengubah suatu kode input biner N-bit menjadi M buah len-len output sedemikian rupa sehingga tiap-tiap len output hanya akan diaktifkan oleh salah satu dari kemungkinan kombinasi-kombinasi input. Decoder merupakan suatu alat yang di gunakan untuk dapat mengembalikan proses encoding sehingga kita dapat melihat atau menerima informasi aslinya. Pengertian Decoder juga dapat di artikan sebagai rangkaian logika yang di tugaskan untuk menerima input input biner dan mengaktifkan salah satu outputnya sesuai dengan urutan biner tersebut. Kebalikan dari decoder adalah encoder.Fungsi Decoder adalah untuk memudahkan kita dalam menyalakan seven segmen. Itu lah sebabnya kita menggunakan decoder agar dapat dengan cepat menyalakan seven segmen. Output dari decoder maksimum adalah 2n. Jadi dapat kita bentuk n-to-2n decoder. Jika kita ingin merangkaian decoder dapat kita buat dengan 3-to-8 decoder menggunakan 2-to-4 decoder. Sehingga kita dapat membuat 4-to-16 decoder dengan menggunakan dua buah 3-to-8 decoder.Beberapa rangkaian decoder yang sering kita jumpai saat ini adalah decoder jenis 3 x 8 (3 bit input dan 8 output line), decoder jenis 4 x 16, decoder jenis BCD to Decimal (4 bit input dan 10 output line) dan decoder jenis BCD to 7 segmen (4 bit input dan 8 output line). Khusus untuk pengertian decoder jenis BCD to 7 segmen mempunyai prinsip kerja yang berbeda dengan decoder decoder lainnya, di mana kombinasi setiap inputnya dapat mengaktifkan beberapa output linenya.Salah satu jenis IC decoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari ke 8 jenis kombinasi inputnya. Jika kita perhatikan, pengertian decoder sangat mirip dengan demultiplexer dengan pengecualian yaitu decoder yang satu ini tidak mempunyai data input. Sehingga input hanya di gunakan sebagai data control.Pengertian decoder dapat di bentuk dari susunan gerbang logika dasar atau menggunakan IC yang banyak jual di pasaran, seperti decoder 74LS48, 74LS154, 74LS138, 74LS155 dan sebagainya. Dengan menggunakan IC, kita dapat merancang sebuah decoder dengan jumlah bit dan keluaran yang di inginkan. Contohnya adalah dengan merancang sebuah decoder 32 saluran keluar dengan IC decoder 8 saluran keluaran.Encoder adalah rangkaian yang memiliki fungsi berkebalikan dengan dekoder. Encoder berfungsi sebagai rangakain untuk mengkodekan data input mejadi data bilangan dengan format tertentu. Encoder dalam rangkaian digital adalah rangkaian kombinasi gerbang digital yang memiliki input banyak dalam bentuk line input dan memiliki output sedikit dalam format bilangan biner. Encoder akan mengkodekan setiap jalur input yang aktif menjadi kode bilangan biner. Dalam teori digital banyak ditemukan istilah encoder seperti Desimal to BCD Encoder yang berarti rangkaian digital yang berfungsi untuk mengkodekan line input dengan jumlah line input desimal (0-9) menjadi kode bilangan biner 4 bit BCD (Binary Coded Decimal). Atau 8 line to 3 line encoder yang berarti rangkaian encoder dengan input 8 line dan output 3 line (3 bit BCD).

III. ALAT DAN BAHAN PERCOBAANDigital Trainer (EWB512) dengan spesifikasi kebutuhan:1. IC 74902. IC 74933. IC 74474. IC74485. IC TTL tipe : SN 7408 ( AND Gate )6. IC TTL tipe : SN 7432 ( OR Gate )

IV. LANGKAH PERCOBAANA. Decoder BCD ke 7 Segment:1. Buatlah tabel kebenaran sebagai berikut :InputOutputa = 0+2+3+5+6+7+8+9b = 0+1+2+3+4+7+8+9c = 0+1+3+4+5+6+7+8+9d = 0+2+3+5+6+8+9e = 0+2+6+8f = 0+4+5+6+8+9g = 2+3+4+5+6+8+9

B3B2B1B0abCdefg

00001111110

00010110000

00101101101

00111111001

01000110011

01011011011

01101011111

01111110000

10001111111

10011111011

X

X

X

X

X

X

2. Buatlah persamaan logika untuk setiap output (a,b,c,d,e,f,g)! Sederhanakan dengan Aljabar boole dan K-Map)!Seperti contoh berikut:aB1B000011110

001011

010111

11XXXX

1011XX

B3B2

a = B3+B1+B0.B2+B0.B2

3. Setelah semua rangkaian BCD tersusun, berikan input masukan 0000,0001,0010,0011,0100,0101,0110,0111,1000,1001 secara bergantian. Masukkan hasilnya pada tabel!

B. 7 Segment Display:1. Buatlah rangkaian seperti berikut ini!

Gambar3. Struktur LED common Anoda dan LED common Cathode2. Ubah-ubah masukkan switch (0 atau 1), kemudian amati kapan LED akan menyala!C. Decoder BCD Dengan IC 7447 dan 7448:1. Buatlah rangkaian seperti gambar 4 dan gambar 5!2. Berikan logika 0000 s.d. 1001 untuk setiap input dan amati display 7-segment!3. Masukkan hasilnya pada tabel!4. Jelaskan perbedaan decoder BCD dengan IC 7447 dan IC 7448!

Gambar4. Decoder BCD dengan IC 7447

Gambar5. Decoder BCD dengan IC 7448D. IC Counter Dengan BCD 7 Segment:1. Buatlah rangkaian seperti berikut ini!

Gambar6. Counter dengan IC 7493

Gambar7. Counter dengan IC 7490

Gambar 8. Counter 2 digit dengan IC 7490

2. Amati perubahan output seven segmen-nya untuk gambar 5 dan gambar 6!3. Jelaskan perbedaan counter menggunakan IC 7493 dan IC 7490! Jelaskan cara kerja masing-masing IC!E. Encoder Desimal ke Biner:1. Perhatikan tabel kebenaran berikut ini!INPUTOUTPUTPersamaan logika

01234567B2B1B0

10000000000B0 = A1+A3+A5+A7 B1 = A2+A3+A6+A7 B2 = A4+A5+A6+A7

01000000001

00100000010

00010000011

00001000100

00000100101

00000010110

00000001111

2. Implementasikan persamaan-persamaan output tersebut menjadi rangkaian logika!

3. Setelah semua rangkaian encoder desimal ke biner tersusun, berikan input masukan dengan input berbeda. Amati hasilnya dan cocokkan dengan tabel!

F. Encoder Switch ke BCD:1. Perhatikan tabel kebenaran berikut ini!INPUTOUTPUTPersamaan Logika

9870543210DCBA

00000000010000A = X1+X3+X5+X7+X9B = X2+X3+X6+X7C = X4+X5+X6+X7D = X8+X9

00000000100001

00000001000010

00000010000011

000010100000100

00001000000101

00010000000110

00100000000111

01000000001000

10000000001001

2. Implementasikan persamaan-persamaan output tersebut menjadi rangkaian logika!3. (lakukan sesuai langkah-langkah untuk enkoder desimal ke biner)4. Setelah semua rangkaian encoder tersebut tersusun, berikan input masukan dengan input berbeda. Masukkan hasilnya pada tabel!5. Susunlah rangkaian encoder yang telah tersusun dengan IC 7447 dan seven segmen.6. Berikan input dan amati hasilnya!

V. HASIL PERCOBAANA. Rangkaian Decoder BCD ke 7 Segment

Penjelasan : Pada rangkaian rangkaian LED common Anoda akan menyala apabila saklar di beri input 1 .Sedangkan pada rangkaian LED common Chatode akan menyala apabila saklar di beri input 0.Tabel:InputOutput

DCBASeven Segment

00000

00011

00102

00113

01004

01015

01106

01117

10008

10019

B. Rangkaian Encoder Desimel Ke Biner

Penjelasan :Perbedaan BCD IC 7447 dengan BCD IC 7448 adalah pada IC 7447 seven segment akan berfungsi jika output kita beri inverter. Sedangkan BC IC 7448 seven segment akan berfungsi tanpa kita ber inverterTabel:INPUTOUTPUT

9870543210DCBA

00000000010000

00000000100001

00000001000010

00000010000011

000010100000100

00001000000101

00010000000110

00100000000111

01000000001000

10000000001001

C. Rangkaian Decoder yang tersusun dengan IC 7447 da seven segment

Penjelasan :IC 7493 akan mempunyai output bilangan hexadecimal yaitu 1 sampai dengan F.Cara kerja IC ini adalah output akan naik dari 1 sampai dengan F , setelah sampai pada F akan kembali lagi ke 1 begitu seerusnya.IC 7490 akan mempunyai output bilangan BCD yaitu 1 sampai dengan 9. Cara kerja IC ini adalah output akan naik dari 1 sampai dengan 9, stelah sampai pada angka 9 akan kembalai lagi ke 1 begitu seterusnya.Tabel:InputOutput

DCBASeven Segment

00000

00011

00102

00113

01004

01015

01106

01117

10008

10019

VI. ANALISISDecoder1. Rangkaian Decoder BCD ke 7-Segmen ini akan menunjukkan angka desimal antara 0 hingga 9 (0000-1001).2. Ketika A, B, C, dan D diberi input 0, maka Seven Segment akan menunjukkan angka 0. Begitu juga ketika diberi input 0001, maka akan menunjukkan angka 1, begitupun seterusnya.3. Seven Segment tidak akan menyala ketika diberi input lebih dari 1001.

EncoderEncoder desimal ke biner adalah rangkaian yang digunakan untuk merubah bilangan desimal menjadi bilangan biner. Pada saat kita menghidupkan saklar untuk desimal 1, maka output biner yang aktif atau menyala adalah A dan B, C, D mati. Kemudian jika kita menghidupkan saklar untuk desimal 3 maka output yang aktif atau menyala adalah A dan B, sedangkan C dan D mati. A merupakan LSB dan D adalah MSB. Encoder switch ke BCD adalah rangkaian yang digunakan untuk mengubah bialngan desimal menjadi bilangan biner. Dan bilangan BCD adalah biner untuk angka 0, 1, 2, 3, 4, 5, 6, 7, 8, 9. Sebenernya cara kerja sama dengan encoder desimal ke biner hanya saja encoder switch ke BCD adalah modul 10. Pada saat kita mnghidupkan saklar 3 maka output yang aktif atau menyala adalah output A dan B, sedangkan output C dan D mati. Kemudian begitu seterusnya sampai ketika saklar yang dihidupkan 9 maka output yang menyala atau aktif adalah A dan D, sedangkan B dan C mati. A merupakan LSB dan D merupakan MSB.1. Encoder merupakan sebuah rangkaian yang berfungsi mengubah nilai desimal menjadi biner dan melanjutkan atau menampilkannya ke display.2. Untuk merangkai rangkaian encoder ke-2, dibutuhkan gerbang NAND untuk menyederhanakan rangkaian menggunakan rumus De Morgan.3. IC 7447 digunakan sebagai penghubung antara output Encoder dan display (seven segment).

VII. SIMPULAN Decoder adalah suatu rangkaian logika yang mengubah suatu kode input biner N-bit menjadi M buah jalur-jalur output sedemikian rupa sehingga setiap jalur output hanya akan diaktifkan oleh salah satu dari kemungkinan kombinasi-kombinasi input. Rangkaian decoder ini dapat kita gunakan untuk mengkonversi BCD ke 7-segment.Fungsi Decoder adalah untuk memudahkan kita dalam menyalakan seven segmen. Itu lah sebabnya kita menggunakan decoder agar dapat dengan cepat menyalakan seven segmen. Output dari decoder maksimum adalah 2n. Jadi dapat kita bentuk n-to-2n decoder. Jika kita ingin merangkaian decoder dapat kita buat dengan 3-to-8 decoder menggunakan 2-to-4 decoder. Sehingga kita dapat membuat 4-to-16 decoder dengan menggunakan dua buah 3-to-8 decoder.1. Encoder adalah sebuah rangkaian yang digunakan untuk mengubah nilai desimal menjadi biner.2. Output dari Encoder tersebut dapat didisplaykan dengan menambahkan IC 7447 sebagai penghubung.3. Encoder adalah sebuah alat yang digunakan untuk mengubah sinyal seperti data atau bitstream ke dalam bentuk yang dapat diterima untuk transmisi data atau penyimpanan data. Umumnya ini dilakukan melalui suatu algoritma tertentu, terutama jika ada bagian yang berupa digital. Atau mengubah keluaran berupa bilangan decimal menjadi bilangan biner dalam tampilan lampu.