Top Banner
BAB IV RANGKAIAN TERPADU DIGITAL (Digital Integrated Circuit) Rangkaian terpadu (IC) adalah rangkaian miniatur pada permukaan sebuah serpih / wafer (yaitu sepotong bahan semikonduktor silicon monolithic) dengan menggunakan teknik fotografi. Berdasarkan kandungan rangkaian yang dimiliki, maka IC dikelompokkan menjadi: Small-Scale Integration (SSI) : yaitu IC yang memiliki kurang dari 12 Gate dalam satu serpih. Medium-Scale Integration (MSI) : yaitu IC yang mempunyai 12 sampai 100 Gate dalam satu serpih. Large-Scale Integration (LSI) : yaitu IC yang mempunyai 100 sampai 1000 Gate dalam satu serpih. Very Large-Scale Integration (VLSI) : yaitu IC yang mempunyai lebih dari 1000 Gate dalam satu serpih. Kemasan (packing) IC dapat berbentuk Dual In Line / DIL yang penomoran kaki / pin-nya dimulai dari tanda bintik berlawanan arah jarum jam, apabila dilihat dari atas, demikian juga untuk jenis flatpack dan surface mount; sedangkan yang Pin Grid Array / PGA, kaki nomor satunya disebelah kiri potongan siku sudut atau diberi tanda bintik lalu berlawanan arah jarum jam, bila dilihat dari atas (top view). 42 (b) (a) Dual In Line (DIL)
48

Bab IV. IC Digital

Nov 24, 2015

Download

Documents

Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript

BAB I

76

BAB IVRANGKAIAN TERPADU DIGITAL (Digital Integrated Circuit)

Rangkaian terpadu (IC) adalah rangkaian miniatur pada permukaan sebuah serpih / wafer (yaitu sepotong bahan semikonduktor silicon monolithic) dengan menggunakan teknik fotografi. Berdasarkan kandungan rangkaian yang dimiliki, maka IC dikelompokkan menjadi:

Small-Scale Integration (SSI) : yaitu IC yang memiliki kurang dari 12 Gate dalam satu serpih.

Medium-Scale Integration (MSI) : yaitu IC yang mempunyai 12 sampai 100 Gate dalam satu serpih.

Large-Scale Integration (LSI) : yaitu IC yang mempunyai 100 sampai 1000 Gate dalam satu serpih.

Very Large-Scale Integration (VLSI) : yaitu IC yang mempunyai lebih dari 1000 Gate dalam satu serpih.

Kemasan (packing) IC dapat berbentuk Dual In Line / DIL yang penomoran kaki / pin-nya dimulai dari tanda bintik berlawanan arah jarum jam, apabila dilihat dari atas, demikian juga untuk jenis flatpack dan surface mount; sedangkan yang Pin Grid Array / PGA, kaki nomor satunya disebelah kiri potongan siku sudut atau diberi tanda bintik lalu berlawanan arah jarum jam, bila dilihat dari atas (top view).

Ada dua teknologi dasar dalam industri IC digital yaitu bipolar (Bipolar Junction Transistor / BJT) dan MOSFET (Metal Oxide Semiconductor Field Effect Transistor). Yang termasuk kelompok IC bipolar adalah : RTL (Resistor Transistor Logic) :IC ini hanya menggunakan transistor dan resistor seperti yang ditunjukkan pada gambar 4.2. Karena adanya resistor, maka kecepatan operasinya sangat lambat sekitar 50 ndetik. Kekurangan lainnya, IC jenis RTL peka terhadap gangguan.

DESAIN RANGKAIAN LOGIKAGambar 4.2 Contoh IC Jenis RTL

DTL (Diode Transistor Logic) :Gambar 4.3 adalah contoh IC jenis DTL. Karena dimasukan ada dioda, maka agar dioda menghantar dibutuhkan tegangan minimal 0,6 Volt, sehingga IC DTL lebih kebal gangguan dan lebih cepat (25 ndetik) daripada IC RTL.

Gambar 4.3 Contoh IC Jenis DTL TTL (Transistor Transistor Logic) :IC TTL adalah pengembangan dari DTL, dioda pada masukan dan dioda Ds diganti dengan transistor ber-emitor banyak, seperti ditunjukkan pada gambar 4.4. Kelebihan IC TTL adalah lebih cepat (10 ndetik), tidak peka gangguan dan membutuhkan arus yang kecil. Keuntungan tersebut membuat IC TTL lebih banyak digunakan, disamping murah dan mudah diperoleh.

Gambar 4.4 Contoh IC Jenis TTL ECL (Emitter Coupled Logic) :

Pada komputer diperlukan gate-gate yang jauh lebih cepat dan juga digunakan untuk mengendalikan saluran (bus). Untuk mendapatkan arus yang cukup kuat, maka perubahan sinyal dari logika 0 ke 1 dan sebaliknya, harus kurang dari 1 Volt, hal ini dapat dipenuhi oleh IC ECL. Kekurangan IC ECL ini adalah pemakaian daya yang relatif besar, agak peka terhadap gangguan dan perlu rangkaian tambahan. Namun IC ECL operasinya yang paling cepat sekitar 8 ndetik.

Gambar 4.5 IC Jenis ECL Integrated Injection Logic (IIL)

IC digital ini termasuk keluarga bipolar terbaru yang berkompetisi dengan MOS dalam aplikasi LSI (pada games, arloji, kontrol dan tuning TV, memory dan mikroprosesor). Teknologi IIL kerapatannya lebih besar dibanding jenis TTL dan MOS, serta menawarkan bermacam kecepatan dan daya. Apabila dioperasikan pada kecepatan rendah, maka penundaannya 100 ndetik dan disipasi dayanya kurang dari 5 nW. Pada kecepatan tinggi (5 ndetik) disipasinya 5 mW per Gate.

Rangkaian dasar IIL ditunjukkan pada gambar 4.6a. Transistor Q1 difungsi kan sebagai sumber arus konstan, yang menghasilkan arus I (1 nA sampai 1 mA) tergantung pada nilai Resistor luar (Rexternal). Transistor Q2 beraksi sebagai transistor penyambungan (switching) yang mempunyai kolektor banyak. Sedangkan gambar 4.6b menunjukkan model rangkaian ekivalen untuk rangkaian dasar IIL dengan Q1 diganti sumber arus konstan.(a) Rangkaian Dasar IIL

(b) Rangkaian Ekivalen MOS (Metal Oxide Semiconductor)

Konstruksi MOS tersusun dari elektroda logam, isolator oksida dan substrat semikonduktor yang disusun berlapis. Transistor yang termasuk jenis MOS adalah FET (Field Effect Transistor), sehingga dinamakan MOSFET.

Keuntungan utama MOSFET adalah relatif sederhana, tidak mahal pembuatan nya, ukurannya kecil dan memakai daya yang sangat kecil. Sedangkan kelemahan utamanya adalah operasinya yang relatif lambat dibanding keluarga IC bipolar. Tetapi dalam banyak pemakaian hal ini bukan menjadi pertimbangan utama.

Ada dua jenis MOSFET yaitu jenis depletion dan enhancement. Tetapi umum nya IC digital MOSFET yang paling banyak adalah jenis enhancement. Kelompok IC MOSFET enhancement adalah MOSFET kanal P, MOSFET kanal N dan MOSFET komplementer / CMOS. P-MOS merupakan jenis yang tertua dan terlambat, sehingga telah usang. N-MOS mendominasi bidang LSI, yang digunakan untuk memory dan mikroprosesor. CMOS menggabungkan PMOS dan NMOS, yang digunakan untuk sistem berdaya rendah, misalnya kalkulator saku, arloji digital dan sebagainya.

(a) NMOS

(b) PMOS

(c ) CMOS

Gambar 4.7 IC Jenis MOSFET

Silicon On Sapphire (SOS)

Keluarga SOS adalah modifikasi dari keluarga CMOS, yang menggunakan safir sebagai bahan isolator untuk memperkecil kapasitansi pada CMOS sehingga mempercepat operasi. Tetapi SOS menggunakan proses fabrikasi lebih kompleks, sehingga lebih mahal.

4.1 Transistor-Transistor Logic (TTL) :

4.1.1 Operasi Rangkaian :

Gambar 4.8 menunjukkan konfigurasi transistor yang banyak ditemukan pada IC TTL. Transistor Q1 mempunyai 2 emitor yang digunakan sebagai masukan. Untuk memudahkan analisis, digunakan dioda ekivalen D2 dan D3 yang menyatakan dua dioda Basis - Emitor dan D4 adalah dioda Kolektor - Basis. Apabila kedua masukan A dan B adalah TINGGI (1), maka kedua dioda D2 dan D3 tidak akan menghantar. Jadi, arus dari tegangan catu + 5 Volt melalui R1 akan mengalir ke D4 menuju Basis transistor Q2, menyebabkan Q2 ON, sedangkan tegangan rendah pada Kolektor Q2 menjadikan Q3 OFF. Arus mengalir dari Emitor Q2 menyebabkan Q4 ON, sehingga tegangan pada keluaran X akan menjadi sangat rendah.

(a) Rangkaian Dasar Gate NAND TTL (b) Rangkaian Ekivalen Dioda untuk Q1Gambar 4.8 Rangkaian Dasar Sebuah Gate NAND IC TTL

Jika salah satu (atau keduanya) masukan adalah RENDAH (0), maka dioda masukan D2 dan D3 dibias maju, sehingga arus mengalir dari catu + 5 Volt melalui R1 dan dioda masukan ke tanah (ground). Akibatnya, tegangan pada titik Y terlalu kecil, membuat D4 dan Q2 OFF, menyebabkan Q4 juga OFF. Tegangan tinggi pada Kolektor Q2 mengijinkan Q3 menghantar (ON) yang beraksi sebagai pengikut Emitor dan menghasilkan tegangan tinggi (3,6 Volt) pada keluaran X.

Jelaslah, rangkaian tersebut berfungsi sebagai Gate logika NAND, karena keluarannya hanya akan RENDAH, jika semua masukannya adalah TINGGI.4.1.2 Karakteristik IC TTL :

Pada tahun 1964 Texas Instrument memperkenalkan IC TTL standar seri 7400/5400. Seri 5400 diperuntukkan khusus bagi militer yang bisa beroperasi pada suhu - 55o s/d 125o C dan catu tegangan dari 4,5 s/d 5,5 Volt, sedangkan seri 7400 untuk umum (komersial) yang beroperasi pada suhu 0o s/d 70oC dan catu tegangan dari 4,75 s/d 5,25 Volt. Walaupun banyak pabrik pembuat IC digital, tetapi banyak istilah dan penandaan sudah distandarisasikan. Berikut contoh istilah dan penandaan yang sering diberikan.4.1.2.1 Parameter Tegangan dan Arus :* VIH atau Vin (1) (Tegangan Masukan Level Tinggi) : Level tegangan yang diperlukan pada masukan untuk logika 1. Suatu tegangan dibawah level tersebut tidak akan diterima sebagai logika 1 oleh rangkaian logika.

* VIL atau Vin (0) (Tegangan Masukan Level Rendah) : Level tegangan yang diperlukan pada masukan untuk logika 0. Suatu tegangan dibawah level tersebut tidak akan diterima sebagai logika 0 oleh rangkaian logika. * VOH atau VOut (1) (Tegangan Keluaran Level Tinggi) : Level tegangan pada keluaran rangkaian logika saat logika 1. Harga minimum VOH biasanya sudah ditentukan.

* VOL atau VOut (0) (Tegangan Keluaran Level Rendah) : Level tegangan pada keluaran rangkaian logika saat logika 0. Harga maksimum VOL biasanya sudah ditentukan.

* IIH atau Iin (1) (Arus Masukan Level Tinggi) : Arus yang mengalir ke masukan, apabila tegangan level 1 diberikan pada masukan tersebut.

* IIL atau Iin (0) (Arus Masukan Level Rendah) : Arus yang mengalir ke masukan, apabila tegangan level 0 diberikan pada masukan tersebut.

* IOH atau IOut (1) (Arus Keluaran Level Tinggi) : Arus yang mengalir ke keluaran pada keadaan logika 1 dibawah kondisi beban tertentu.

* IOL atau IOut (0) (Arus Keluaran Level Rendah) : Arus yang mengalir ke keluaran pada keadaan logika 0 dibawah kondisi beban tertentu.4.1.2.2 Level Tegangan :

Masukan : level logika 0 atau RENDAH adalah ( 0,8 Volt

level logika 1 atau TINGGI adalah ( 2,0 Volt

Keluaran : level logika 0 atau RENDAH adalah ( 0,4 Volt

level logika 1 atau TINGGI

adalah ( 2,4 Volt

Gambar 4.9 Persyaratan Level Tegangan Masukan dan Keluaran TTL

4.1.2.3 Waktu Transisi (Transition Time) :

Informasi biner dalam sistem digital secara terus menerus berubah secara cepat. Hal ini menjadi penting untuk dipahami sehubungan dengan respon rangkaian digital terhadap masukan-masukannya. Untuk mengetahui karakteristik sinyal-sinyal digital, maka bisa diamati satu pulsa digital seperti ditunjukkan pada gambar 4.10.

Waktu naik (rise time) tR pulsa didefinisikan sebagai waktu yang diperlukan sinyal untuk naik dari 10 % sampai 90 % tegangannya. Waktu turun (fall time) tF adalah waktu yang dibutuhkan sinyal untuk turun dari 90 % sampai 10 % dari tegangan maksimumnya. Pada sistem digital harga tR dan tF dalam mikro atau nanodetik dan besarnya tidak selalu sama, tergantung pada macam dn jumlahnya beban yang dipasang pada keluaran rangkaian. Sedangkan lama/lebarnya pulsa tP (pulse duration) adalah waktu diantara 50 % sisi naik dan turun, yang nilainya dari nanodetik sampai detik. Perbandingan antara lebar dengan periode pulsa T dalam persen dinamakan siklus kerja (duty cycle / DC).

Gambar 4.10 Satu Pulsa Digital

4.1.2.4 Waktu Penundaan Perambatan (Propagation Delay Time) :

Suatu sinyal digital selalu mengalami penundaan apabila dilewatkan pada suatu rangkaian. Ada dua macam waktu penundaan perambatan yaitu :

tPLH atau tpd1 adalah waktu penundaan yang terjadi pada saat pulsa berubah dari logika 0 ke logika 1.

tPHL atau tpd0 adalah waktu penundaan yang terjadi pada saat pulsa berubah dari logika 1 ke logika 0.

Waktu penundaan tpd rata-ratanya adalah :tpd = ( tPLH + tPHL ) / 2 (detik)

Gambar 4.11 Penundaan Perambatan

Harga tpd digunakan sebagai ukuran kecepatan relatif rangkaian logika. Misalnya suatu rangkaian logika dengan harga tpd 10 nanodetik adalah lebih cepat dibanding dengan suatu rangkaian logika dengan harga tpd 20 nanodetik.4.1.2.5 Kebutuhan Daya (Disipasi Daya) :

Jumlah daya yang diperlukan oleh sebuah IC perlu diperhitungkan dalam perancangan rangkaian digital. Seringkali daya tersebut langsung diberikan sebagai disipasi daya rata-rata Pdis pada lembaran data IC, atau dinyatakan secara tidak langsung melalui arus yang mengalir dari sumber daya IC yaitu ICC, yang apabila diketahui dikalikan dengan tegangan sumber dayanya maka akan diketahui daya yang diperlukan oleh IC, yaitu :Pdis = VCC ICC (Watt)

Untuk beberapa IC, harga ICC akan berbeda untuk kedua keadaan logikanya, yaitu ICCH : arus yang mengalir apabila semua keluaran IC adalah tinggi dan ICCL : arus yang mengalir apabila semua keluaran IC adalah rendah. Untuk itu harga ICC rata-ratanya adalah :ICC = ( ICCH + ICCL ) / 2 (Ampere)

Ukuran untuk menyatakan kecepatan penyambungan suatu IC adalah hasil perkalian daya dengan penundaan (power-delay product) yaitu :

PDP = Pdis tpd (Joule, biasanya dinyatakan dalam picoJoule)

4.1.2.6 Kekebalan Derau (Noise Immunity) :

Medan listrik dan magnit liar dapat menginduksikan tegangan (noise) pada kawat-kawat penghubung diantara rangkaian logika, yang dapat menyebabkan tegangan pada masukan rangkaian logika turun dibawah VIH atau naik diatas VIL yang ditentukan. Ukuran kuantitatif dari kekebalan derau dinamakan noise margin, yang menyatakan kemampuan rangkaian logika untuk mentolerir tegangan noise pada masukan-masukannya, yang ditunjukkan pada gambar 4.12.

Gambar 4.12 Noise Margin

Dari gambar 4.12, noise margin pada keadaan tinggi VNH dan rendah VNL adalah :

VNH = VOH(min) - VIH(min) (Volt)VNL = VIL(maks) - VOL(maks) (Volt)

Kedua persamaan diatas menyatakan besarnya noise margin untuk tegangan DC, padahal umumnya noise dipandang sebagai sinyal AC. Tetapi, pada IC modern berkecapatan tinggi, lebar pulsa 1 (detik merupakan pulsa yang sangat panjang dan bisa diperlakukan sebagai DC apabila dikaitkan dengan tanggapan IC. Pada umumnya noise margin IC untuk tegangan AC jauh lebih besar dari noise margin pada tegangan DC. Para produsen biasanya memberikan noise margin AC dalam bentuk grafik seperti ditunjukkan pada gambar 4.13. Dari gambar tersebut tampak bahwa noise margin akan konstan untuk lebar pulsa lebih besar dari 10 ndetik, dan naik dengan cepat untuk pulsa yang lebih sempit.

Gambar 4.13 Grafik Kekebalan Derau AC

4.1.2.7 Fan - out dan Fan - in

Seringkali keluaran rangkaian logika dibutuhkan untuk mengendalikan beberapa masukan logika lain. Jumlah maksimum masukan logika standar yang dapat dikendalikan dengan baik oleh sebuah keluaran dinamakan fan-out (atau faktor pembebanan / loading factor). Misalnya, sebuah gate logika ditentukan mempunyai fan-out 10, maka keluaran logika tersebut dapat mengendalikan 10 masukan logika standar. Jika jumlah tersebut dilampaui, maka tegangan level logika keluaran tidak dijamin. Sedangkan jumlah maksimum keluaran logika standar yang boleh dihubungkan pada sebuah masukan dinamakan fan-in.4.1.3 Seri IC TTL yang lain

IC seri 74XX standar menawarkan gabungan kecepatan dan disipasi daya yang sesuai untuk beberapa aplikasi dan mempunyai variasi yang banyak, diantaranya Gate-gate, Flip-Flop, One-Shot dalam skala SSI, dan Register, Counter, Decoder, Memory serta aritmatika dalam skala MSI. Disamping seri 74XX standar ada beberapa seri TTL lain yang dikembangkan untuk memberikan pilihan yang lebih luas dalam karakteristik kecepatan dan disipasi daya.

(a) TTL Seri Daya Rendah 74LXX

IC TTL daya rendah mempunyai rangkaian yang secara prinsip sama dengan seri 74XX, keculai bahwa semua nilai resistornya dinaikkan. Resistansi yang besar memperkecil arus yang mengalir, sehingga daya yang dibutuhkan juga kecil, tetapi waktu penundaan perambatannya panjang. Disipasi daya rata-rata IC seri daya rendah tersebut adalah 1 mW dan waktu penundaan perambatan rata-ratanya adalah 33 ndetik. IC seri 74LXX ini sangat sesuai untuk aplikasi dengan pertimbangan pemakaian daya rendah dibanding kecepatan, seperti calculator.

Gambar 4.14 Contoh IC TTL Daya Rendah 74L00

(b) TTL Seri Kecepatan Tinggi 74HXX

Rangkaian dasar IC TTL kecepatan tinggi 74HXX sama dengan seri 74XX, keculai bahwa semua nilai resistornya dikecilkan dan transistor Q4 diganti dengan pasangan Darlington, sehingga menghasilkan kecepatan penyambungan yang lebih cepat, dengan penundaan perambatan rata-ratanya 6 ndetik. Tetapi dengan meningkatkan kecepatan akibatnya disipasi dayanya juga naik, yaitu sekitar 23 mW.

Gambar 4.15 Contoh IC TTL Kecepatan Tinggi 74H00

(c) TTL Seri Schottky 74SXX

Seri 74SXX mempunyai kecepatan yang paling tinggi dibanding dengan seri TTL sebelumnya. Hal ini dapat dicapai dengan menggunakan dioda Barrier Schottky (SBD) yang dihubungkan sebagai clamp diantara Basis dan Emittor dari tiap transistor, sehingga menurunkan tegangan bias maju sambungan Basis - Collector Transistor yang lebih rendah (0,4 V), untuk itu memperkecil waktu penundaan rata-ratanya sampai 3 ndetik.

Seri 74SXX juga menggunakan harga resistor yang kecil untuk meningkatkan kecepatan penyambungan, sehingga menambah disipasi daya rata-rata sampai 23 nW per Gate, sama seperti Pd seri 74HXX.

(a) Dioda Schottky Basis Collector Transistor (b) Simbol Transistor Schottky

(c) angkaian Dasar TTL Schottky

Gambar 4.16 Contoh IC TTL Schottky 74S00

(d) TTL Seri Schottky Daya Rendah 74LSXX

Seri TTL dioda Schottky clamp yang lain menggunakan resistor yang besar untuk memperkecil disipasi daya. Seri 74LSXX mempunyai Pd hanya 2 mW per Gate, paling rendah dibanding TTL yang lain, kecuali seri 74LXX. Resistansinya yang besar menyebabkan peningkatan propagasi mendekati 9,5 ndetik, hampir sama dengan seri standar 74XX, tetapi membutuhkan daya yang lebih kecil.

Gambar 4.17 Contoh IC TTL Schottky Daya Rendah 74LS00(e) TTL Seri Schottky Lanjutan/AS dan Schottky Daya Rendah Lanjutan / ALSTTL seri AS dan ALS Advanced Low Power Schottky dibuat dengan memperbaiki teknik doping (implantasi ion difusi) dan isolasi (menggunakan oksida material P-) pada transistor Schottky-clamped serta menambah komponen aktif (sebagai driver dan feedback), sehingga memperkecil kapasitansi, konsumsi daya dan waktu penyambungan serta meningkatkan Fan-out dibanding TTL sebelumnya.

Gambar 4.18 Contoh IC TTL Schottky Lanjutan 74AS00

Tabel 4.1 menampilkan perbandingan tujuh seri TTL yang ada. Kecepatan Clock maksimum adalah frekuensi maksimum untuk FF, Counter dan sejenisnya yang dapat dioperasikan dengan baik.

Tabel 4.1 Perbandingan Tujuh Seri TTL

SeriPenundaan Perambatan Rata-rata (ndet)Daya (mW)Kecept. Clock Maks. (MHz)Fan-Out*

74XX9103510

74LXX331310

74HXX6235010

74SXX32312510

74LSXX9,524510

74ASXX4104,5100

74ALSXX8,551180

* Dianggap keluaran mengendalikan masukan seri yang sama.

4.1.4 Aturan Pembebanan TTL

Dalam mendesain sistem digital dengan menggunakan IC TTL, penting untuk mengetahui bagaimana menentukan dan menggunakan fan-out atau kemampuan mengendalikan dari masing-masing IC. Gambar 4.20a menunjukkan satu keluaran IC TTL dalam keadaan rendah (0) yang dihubungkan ke beberapa masukan IC TTL. Transistor Q4 adalah ON dan beraksi sebagai penyerap arus (current sink) untuk semua arus (IIL) yang datang dari masing-masing masukan. Walaupun Transistor Q4 saturasi tetapi resistansi ON-nya tidak nol, sehingga arus IOL menghasilkan tegangan keluaran VOL yang turun. Nilai VOL tidak boleh lebih dari 0,4 Volt (lihat tabel 4-1), dan ini membatasi harga IOL dan beban yang dikendalikannya.

Sedangkan pada keadaan tinggi (1) untuk gambar 4.20b, Transistor Q3 beraksi sebagai pengikut emittor dan pencatu arus ke tiap-tiap masukan IC TTL. Arus IIH tersebut hanyalah arus bocor bias mundur, sehingga masukan sambungan Emittor Basis terbias mundur juga. Jika terlalu banyak beban yang dikendalikan arus keluaran IOH total bisa menjadi terlalu besar, menyebabkan arus yang melewati R2, Q3 dan D1 turun, sehingga tegangan VOH dibawah harga yang diijinkan yaitu 2,4 Volt.

4.1.4.1 Satuan Beban (Unit Load)

Untuk menyederhanakan perancangan dengan IC TTL, pabrik pembuat telah menetapkan standar faktor pembebanan masukan dan keluaran dalam arus. Arus-arus tersebut dinamakan satuan beban dan didefinisikan sebagai berikut :

40 (Adalam keadaan tinggi

1 Satuan Beban (UL) =

1,6 mAdalam keadaan rendah

Faktor satuan beban tersebut digunakan untuk menyatakan kemampuan keluaran mengendalikan masukan / Fan-out dan beban masukan / Fan-in IC TTL.

Gambar 4.20 Kemampuan Mengendalikan Keluaran IC TTL

Latihan 4.1 Lihatlah lembaran data IC 7400 Gate Nand.

a. Tentukan faktor pembebanan masukan dan keluaran dalam satuan beban !

b. Tentukanlah IOL dan IOH maksimumnya ! Penyelesaian :

a. Parameter arus masukan maksimum untuk IC 7400 adalah IIH = 40 (A dan IIL = - 1,6 mA (tanda negatif menunjukkan bahwa arus masukan dalam keadaan rendah sesungguhnya mengalir keluar dari masukan terminal). Jadi sebuah Gate-Nand 7400 mempunyai faktor pembebanan masukan 1 UL pada keadaan rendah dan tinggi.

Kemampuan keluaran untuk mengendalikan IC tersebut diberikan pada lembar data dibawah recommended operating conditions, yaitu fan-out 10 UL yang ditujukan untuk masing-masing keluaran. Hal ini berarti bahwa masing-masing keluaran dapat mengendalikan dengan baik masukan yang faktor pembebanan totalnya sama dengan 10 UL. Misalnya satu keluaran Gate-Nand (dengan fan-out 10 UL) dapat mengendalikan 10 masukan Gate-Nand lain yang masing-masing masukan mempunyai 1 UL.

c. Satu Gate Nand 7400 mempunyai Fan-out 10 UL. Pada keadaan TINGGI, 1 UL adalah 40 (A, sehingga keluaran Gate tersebut bisa mencatu arus sebesar 10 X 40 (A = 400 (A = 0,4 mA ; Jadi IOH maks = 0,4 mA. Sedangkan pada keadaan RENDAH, 1 UL = 1,6 mA, sehingga keluaran Gate ini dapat menyerap 10 X 1,6 mA = 16 mA ; Jadi IOL maks = 16 mA.Latihan 4.2a. Tentukanlah Fan-out dari Gate Nand 74S00.

b. Berapa banyakkah masukan Gate Nand 74S00 dapat dikendalikan oleh satu keluaran Gate Nand 74S00 ?

c. Berapa banyakkah masukan Gate Nand 74S00 dapat dikendalikan oleh satu keluaran Gate Nand 7400 ?Penyelesaian :

a. Lembaran data IC 74S00 tidak memberikan Fan-outnya, tetapi memberikan arus keluarannya yaitu : IOH = 1 mA dan IOL = 20 mA, sehingga :

Pada Keadaan TINGGI :

Pada Keadaan RENDAH :

Perhatikanlah bahwa Fan-outnya mempunyai harga yang berbeda untuk dua keadaan keluarannya, tetapi kebanyakan Fan-out pada keadaan rendah yang digunakan, karena berhubungan dengan kemampuan keluaran menerima arus dari beban yang tidak boleh terlampaui.

b. Lembaran data menunjukkan bahwa beban masukan untuk masing-masing masukan adalah 1,25 UL. Karena 74S00 mempunyai FO = 12,5 UL, maka satu keluaran Gate Nand 74S00 mampu mendorong 12,5/1,25 = 10 masukan Gate Nand 74S00 yang lain.

c. Satu keluaran Gate Nand 7400 mempunyai FO = 10 UL, oleh karena itu satu keluaran Gate Nand 7400 mampu mendorong 8 masukan Gate Nand 74S00.Latihan 4.3 Satu keluaran Gate Nand 7400 digunakan untuk mengendalikan masukan Clock Pencacah paralel (sinkron) yang tersusun dari FF-JK IC 7473. Berapakah jumlah FF-JK maksimum yang dimiliki oleh Pencacah tersebut ?Penyelesaian :

Dari lembaran data IC 7473 diketahui bahwa IILClock = 3,2 mA, sehimgga faktor pembebanan masukannya . Jadi, satu keluaran Gate Nand 7400 yang mempunyai FO = 10 dapat mendorong 10/2 = 5 masukan Clock.4.1.4.2 Faktor Pembebanan Masukan - masukan yang Dijadikan Satu

Apabila ada dua atau lebih masukan dari IC TTL yang dihubungkan menjadi satu, maka besarnya faktor pembebanan masukannya (input loading) sama dengan satu masukan tunggal, jika semua masukannya pada keadaan Rendah; dikarenakan IIL hanya ditentukan oleh tahanan bias R1 dari rangkaian IC TTL, sedangkan apabila masukannya pada keadaan tinggi, maka faktor pembebanan masukannya sama dengan jumlah faktor pembebanan masing-masing masukan. Hal ini dikarenakan IIH harus mencatu arus bocor bias baik pada sambungan Basis Emitor.

Contohnya, bila dua masukan Gate Nand 7400 dijadikan satu, maka masukan tunggal tersebut mempunyai faktor pembebanan 1 UL (1,6 mA) pada keadaan rendah dan 2 UL (80 (A) pada keadaan tinggi.

Latihan 4.4 Tentukan jumlah satuan pembebanan yang dikendalikan oleh keluaran Gate 1 pada gambar 4.21.Penyelesaian : Faktor pembebanan untuk dua keadaan ditunjukkan di gambar 4.21.

Pembebanan Keluaran Gate 1

Keadaan 1Keadaan 0

Gate 22 ULGate 21 UL

Gate 31 ULGate 31 UL

Gate 43 ULGate 41 UL

Total6 ULTotal3 UL

Gambar 4.21 Pembebanan Keluaran Gate 1 untuk Latihan 4.44.1.5 Sifat-sifat IC TTL yang Lain

4.1.5.1 Masukan-masukan yang Tak Disambungkan

Setiap masukan IC TTL yang tidak digunakan dibiarkan terbuka, maka akan berfungsi sebagai logika 1 pada masukan tersebut, karena sambungan antara Basis Emitor akan terbias balik. Untuk itu kalau ada masukan yang tidak digunakan, maka ada tiga cara yang bisa dilakukan tergantung dari fungsi rangkaian logikanya, yaitu :

Masukan yang tidak digunakan dibiarkan tak tersambung.Cara ini jarang dilakukan, karena masukan yang tidak digunakan akan berfungsi sebagai antena, yang dapat menerima sinyal-sinyal liar dari luar sehingga mengakibatkan rangkaian logika tersebut tidak bekerja dengan benar.

Masukan yang tidak digunakan dihubungkan ke + 5 Volt melalui resistor 1 K(.

Masukan yang tidak digunakan dijadikan satu dengan masukan lain yang terpakai.Cara ini adalah yang paling baik dan bisa diterapkan untuk semua rangkaian logika, asalkan keluaran lain yang mendorong masukan yang dijadikan satu tidak terlampaui fan-outnya.

Gambar 4.22

Contoh Tiga Cara Penanganan Masukan yang tidak digunakan pada Gate Nand4.1.5.2 Membias Masukan TTL ke 0

Seringkali suatu masukan IC TTL dalam keadaan normal dipertahankan tetap rendah, lalu diubah menjadi tinggi oleh saklar mekanis ke catu daya. Hal ini dapat dilakukan dengan menghubungkan masukan tersebut ke Multivibrator monostable (One-Shot : lihat Bab V) seperti ditunjukkan pada gambar 4.23.

Gambar 4.23 Pembiasan Masukan TTL ke 0

OS ini dipicu oleh transisi naik ketika saklar ditutup sesaat. Resistor R digunakan untuk menjaga agar masukan T OS dalam keadaan rendah saat saklar terbuka. Harga resistor maksimum harus diperhitungkan sehingga tegangan masukan OS (VIL ) tidak melebihi 0,4 Volt. Untuk itu harga resistor maksimum adalah :

IIL x Rmaks = 0,4 Volt

(4.1.5.3 Waktu Transisi dari Masukan TTL

Sinyal masukan yang mendorong rangkaian TTL harus memilki waktu transisi yang relatif cepat agar dapat bekerja dengan benar. Apabila waktu naik dan turun masukan lebih besar dari 1 (detik bisa terjadi osilasi pada keluaran, yang menjadi masalah apabila diberikan ke FF, OS, Register atau Counter.

Suatu sinyal osilasi dapat diperbaiki dengan menggunakan Schmitt Trigger (ST) seperti ditunjukkan pada gambar 4.24. Keluaran ST menghasilkan transisi cepat (sekitar 10 ndetik) yang tidak tergantung pada waktu transisi masukannya.

Gambar 4.24 (a) Osilasi Sinyal (b) Pemakaian Schmitt Trigger

4.1.5.4 Denyutan Arus

Apabila keluaran totempole TTL berubah dari logika rendah ke tinggi, maka akan ada denyutan arus (spike) beramplitudo tinggi ( 30 50 mA) sesaat (sekitar 10 ndetik) yang dialirkan dari VCC, karena pada saat itu transistor Q4 ON ke OFF memerlukan waktu yang lebih lama (keadaan saturasi) daripada Q3 OFF ke ON, sehingga kedua transistor dalam keadaan ON (konduksi). Lamanya denyutan arus tersebut dapat diperpanjang oleh beban kapasitif (yang dihasilkan oleh pengkawatan atau masukan rangkaian beban) pada kaluaran.

Pada sistem digital yang kompleks, denyutan arus komulatif tersebut dapat menyebabkan kegagalan yang berarti selama transisi penyambungan, kecuali IC TTL tersebut telah dipasang filter, yaitu dengan menggunakan kapasitor (power supply decoupling) yang dipasang dari VCC ke tanah (ground) sebesar 2000 pF untuk setiap keluaran totempole. Misalnya suatu PCB yang berisi 20 IC TTL dengan 100 keluaran totempole, maka kapasitansi total yang dibutuhkan adalah 2000 pF x 100 = 200 nF.

Gambar 4.25 Denyutan Arus pada TTL Keluaran Totempole4.1.5.5 Dioda Proteksi

Beberapa seri TTL mempunyai dioda proteksi yang dihubungkan dari tiap masukannya ke tanah (ground). Dioda tersebut mengamankan masukan transistor dari ayunan tegangan negatif yang disebabkan oleh osilasi sinyal masukan.

Gambar 4.26 Dioda Proteksi pada Masukan TTL4.1.6 TTL Keluaran Kolektor Terbuka (Output Open Collector)

Beberapa rangkaian logika TTL tersedia dalam versi keluaran kolektor terbuka dengan menghilangkan Resistor R4, Transistor Q3 dan Dioda D1 seperti ditun jukkan pada gambar 4.27a. Agar dapat beroperasi dengan pembiasan yang baik, maka harus ditambahkan Resistor pull-up eksternal Reks yang dihubungkan dari keluaran ke catu daya VCC, seperti gambar 4.27b.

Dua atau lebih keluaran kolektor terbuka TTL dapat dijadikan satu seperti ditunjukkan pada gambar 4.28. Apabila salah satu dari keluaran berubah ke keadaan rendah (Q4 ON), titik keluaran bersama akan menuju rendah sebagai hasil menghubung-singkatkan ke tanah dari transistor Q4 yang ON. Titik keluaran bersama akan tinggi hanya apabila semua keluaran rangkaian logika pada keadaan tinggi. Jelaslah ini adalah operasi AND. Jadi penyambungan menjadi satu keluaran kolektor terbuka TTL dinamakan wired-AND karena menghasilkan operasi AND.

(a)

(b)

Gambar 4.28 Operasi Wired-AND TTL Keluaran Kolektor Terbuka

Nilai Resistor luar harus dipilih pada saat apabila salah satu keluaran Gate menuju rendah sedangkan keluaran yang tinggi, maka arus yang diserap (sink current) tidak melampaui batas IOL-nya. Serta apabila simpul keluaran tersebut digunakan untuk mengendalikan beban TTL lain yang akan memperbesar arus mundur tersebut, nilainya tidak melampaui batas IOL-nya.

Contoh 4.5 IC 7405 berisi enam Inverter dengan keluaran terbuka. Enam Inverter tersebut dihubungkan dalam susunan Wired AND seperti ditunjukkan pada gambar 4.29.

a. Tentukanlah ekspresi logika untuk keluaran X

b. Tentukanlah harga Rext, apabila keluaran X tersebut mengendalikan rangkaian lain yang mempunyai faktor pembebanan total 4 UL.Penyelesaian :

a. Hubungan wired-AND diperoleh dengan meng-AND tiap keluaran Inverter:

X = A.B.C.D.E.F

Dengan menggunakan teorema De Morgan, keluaran X tersebut adalah :

X = A + B + C + D + E + F

Gate NORb. Karena keluaran X mendorong 4 UL, maka beban tersebut akan memberi kan arus mundur pada keadaan rendah sebesar 4 X 1,6 mA = 6,4 mA.

Dari lembaran data IC 7405 diketahui bahwa tiap keluaran mempunyai fan-out 10 UL, sehingga IOL maks = 16 mA, yang berarti bahwa arus yang melewati Rext pada keadaan rendah harus dibatasi sebesar (16 6,4) mA = 9,6 mA. Untuk itu harga Rext minimumnya adalah :

Gambar 4.29 Wired-AND Enam Keluaran Kolektor Terbuka IC 74054.1.7 TTL Tri-State (TSL)

Perkembangan terbaru dari IC TTL adalah Tri-state, yang mempunyai keadaan keluaran stabil ketiga, yaitu impedansi tinggi (Q3 dan Q4 pada keadaan OFF). Gambar 4.30a adalah contoh simbol logika untuk Tri-state Gate NAND dua masukan dan satu masukan kontrol yang digunakan untuk menghasilkan keadaan keluaran ketiga. Sedangkan gambar 4.30b menjelaskan operasinya.

Apabila masukan Kontrol rendah (0), maka Gate NAND akan beroperasi seperti Gate NAND biasa dan mempunyai keluaran 0 atau 1 tergantung masukan A dan B. Sedangkan apabila masukan Kontrol tinggi (1), menyebabkan keluaran Gate disable (masukan dan keluaran terpisah / rangkaian terbuka), sehingga masukan A dan B tidak bekerja karena Q3 dan Q4 cut-off.

(a) Simbol Gate NAND Tristate(b) Tabel Kebenaran Gate NAND TristateKontrolKeluaranArus pada Masukan A dan B

0

(Enable)Sama seperti Gate NAND : keluaran 0 hanya jika A = B = 1IIH = 40 A

IIL = 1,6 mA

1

(Disable)Transisitor Q3 dan Q4 OFF, keluarannya berimpedansi tinggi IIH = IIL = 40 A

(Impedansi tinggi)

Gambar 4.30 Gate NAND Tristate

Tristate banyak digunakan sebagai saklar elektronik pada rangkaian yang menggunakan saluran bersama (bus).4.2 Metal Oxide Semiconductor (MOS)

Transistor yang menggunakan teknologi MOS adalah transistor efek medan (Field Effect Transistor / FET) atau MOSFET. Keuntungan utama dari MOSFET adalah pembuatannya relatif sederhana dan tidak mahal, ukurannya kecil serta memakai daya yang sangat kecil. Sedangkan kelemahannya adalah kecepatannya operasinya yang relatif lambat dibandingkan dengan IC bipolar.

Ada dua macam IC MOSFET yaitu jenis depletion dan enhancement. Untuk sistem digital banyak yang menggunakan jenis MOSFET enhancement, yang terdiri dari kanal P, kanal N dan gabungan keduanya yaitu CMOS (Complementary Metal Oxide Semiconductor), seperti ditunjukkan pada gambar 4.6 diatas.

Pada sistem digital, MOSFET enhancement dioperasikan sebagai saklar ON OFF, dengan menghubungkan Substrat dan Source. Untuk MOSFET kanal P, tegangan catu di Drain VDD dibias negatif terhadap Source, sedangkan tegangan masukan antara Gate dan Source VGS digunakan untuk mengontrol resistansi antara Drain dan Source (resistansi kanal) agar MOSFET kanal-N tersebut ON atau OFF. Apabila VGS = 0 Volt atau positif, maka antara Drain dan Source tidak menghantar / OFF dan resistansi kanal besar sekali (ROFF sekitar 1010 () atau seperti rangkaian terbuka. Pada saat VGS negatif dan nilainya lebih rendah dari tegangan konduksi antara Drain dan Source (yaitu tegangan ambang / threshold voltage VT), maka MOSFET kanal-P tersebut menghantar dan resistansi kanal (RON) berharga sekitar 1K(, seperti ditunjukkan pada gambar 4.31.

Untuk kanal-N, tegangan catu Drain VDD dibias positif terhadap Source, sedangkan tegangan masukan antara Gate dan Source VGS harus lebih positif (yang melebihi VT), seperti ditunjukkan pada gambar 4.31, dan tabel 4.2 memperlihatkan pembiasan penyambungan pada MOSFET kanal-P dan N.

Gambar 4.31 Keadaan Penyambungan MOSFET Enhancement Kanal-P

Gambar 4.32 Keadaan Penyambungan MOSFET Enhancement Kanal-N

Tabel 4.2 Ringkasan Pembiasan Penyambungan pada MOSFET kanal-P dan N

KanalBias Drain ke SourceTegangan Gate ke Source (VGS) yang Dibutuhkan untuk KonduksiRON (Nominal)ROFF

PNegatifNegatif dan lebih negatif dari VT1 K(1010 (

NPositifPositif dan lebih Positif dari VT1 K(1010 (

Sedangkan pada CMOS, karena menggabungkan kelebihan dari kanl-P dan N, maka CMOS lebih cepat dan memakai daya lebih kecil dibandingkan dengan kanal-P dan N, namun semakin kompleksnya proses pembuatan IC dan rendahnya kepadatan pemasangan komponen. Tetapi apabila dibandingkan dengan dengan IC bipolar (TTL), proses pembuatannya CMOS lebih sederhana, lebih banyak kepadatan pemasangan komponen dan bahkan jauh lebih rendah dalam pemakain daya dari TTL daya rendah (74L00), namun masih lebih lambat dari TTL yang paling lambat.

(a) Gate Inveter CMOS

(b) Gate Nand CMOS

(c) Gate Nor CMOS

Gambar 4.33 Gate Inverter, Nand dan Nor CMOS

4.2.1 Operasi Rangkaian CMOS

Pada sub bab berikut diberikan contoh diagram dan tabel kebenaran Gate Inverter, Nand dan Nor dari CMOS. Pada diagram-diagram tersebut digunakan simbol blok yang bertanda P dan N untuk menyatakan MOSFET kanal-P dan N.

4.2.2 Karakteristik CMOS

Karena IC digital FET enhancement CMOS yang paling banyak digunakan pada sistem digital, maka berikut ini hanya akan dibahas karakteristik IC CMOS.

4.2.1.1 Disipasi Daya (PD)

Disipasi daya DC IC CMOS sangat rendah (sekitar 12 nW per Gate apabila menggunakan VDD = 10 Volt) dibandingkan dengan Bipolar atau bahkan PMOS dan NMOS, karena tidak menggunakan resistor didalamnya, tetapi hanya menggunakan kanal-P dan N, dan membuat kedua kanal menjadi ON atau OFF, sehingga dihasilkan resistansi ON atau OFF.

Disipasi daya AC CMOS sangat dipengaruhi oleh frekuensi. Apabila frekuensi operasi CMOS pada frekuensi rendah, maka disipasi dayanya rendah. Tetapi apabila frekuensi operasinya IC CMOS naik, maka disipasi daya rata-ratanya naik secara sebanding. Hal ini dikarenakan saat setiap keluaran CMOS berubah tinggi, maka ada pulsa arus (dari VDD) yang harus diberikan pada setiap kapasitansi beban masukan yang didorong.

4.2.1.2 Level Tegangan

Level logika CMOS adalah 0 Volt untuk logika 0 (rendah) dan + VDD untuk logika 1 (tinggi). Sedangkan level masukan CMOS adalah :

VIL = 30 % x VDD

VIH = 70 % x VDD4.2.1.3 Kecepatan Penyambungan

Kecepatan penyambungan IC CMOS lebih lambat, karena dipengaruhi oleh resistansi keluaran yang tinggi (RON = 1 K() dan beban yang dikendalikan mempunyai resistansi masukan yang sangat tinggi (karena merupakan beban kapasitif). Tetapi masih lebih cepat dibanding dengan IC MOSFET kanal P dan N.

Kecepatan penyambungan CMOS tergantung oleh tergantung tegangan catu VDD. VDD yang besar menghasilkan RON yang lebih rendah, sehingga waktu penyambungannya lebih cepat, tetapi disipasi dayanya akan naik, meskipun masih sangat rendah apabila dibandingkan dengan IC digital lainnya.

4.2.1.3 Fan-Out

Karena resistansi masukan DC CMOS yang tinggi, sehingga sebenarnya tidak ada batasan jumlah masukan CMOS yang dapat dikendalikan oleh sebuah keluaran CMOS. Tetapi kapasitansi total masukan beban akan membatasi kecepatan penyambungan keluaran CMOS. Jadi Fan-out CMOS juga dibatasi oleh kapasitansi masukan beban.

4.2.1.4 Kekebalan Noise (Noise Margin)

IC CMOS mempunyai noise margin yang sama untuk keadaan tinggi dan rendah, yaitu VNL dan VNH adalah 30 % dari VDD.

4.2.1.5 Masukan-masukan yang Tak Terpakai

Semua masukan CMOS yang tak terpakai harus dihubungkan ke level tegangan yang sesuai, yaitu ke tanah (ground) atau VDD. Karena masukan yang mengambang akan mudah dimasuki noise, sehingga membias kedua kanal P dan N menghantar dan menghasilkan disipasi daya yang berlebihan. Masukan tak terpakai dapat juga dihubungkan ke masukan lain yang terpakai, asalkan Fan-outnya tidak terlampaui.

4.2.2 Antar-muka CMOS dengan TTL

Seringkali dalam suatu sistem digital menggunakan IC CMOS dan TTL, untuk itu VDD CMOS dibuat sebesar + 5 Volt, agar bisa dihubungkan dengan TTL.

4.2.2.1 CMOS Mengendalikan TTL

Gambar 4.34 menunjukkan contoh suatu Gate CMOS yang mengendalikan Gate TTL. Apabila keluaran Gate CMOS tinggi, maka tidak ada masalah karena VOH ( VDD = + 5 Volt, yaitu masukan tinggi yang dapat diterima untuk masukan Gate TTL dan arus masukan keadaan tinggi (IIH) TTL sebesar 40 (A dapat catu oleh keluaran Gate CMOS melalui RON kanal P atau N.

Tetapi apabila keluaran Gate CMOS menuju rendah, arus masukan (IIL) TTL sebesar 1,6 mA yang diserap keluaran gate CMOS ke tanah (ground) melalui RON yang berubah-ubah (dari 100 sampai 5 K() dari kanal P atau N, sehingga menghasilkan tegangan yang terlampaui tinggi bagi VIL Gate TTL.

Gambar 4.34 Contoh Keluaran Gate CMOS Mengendalikan Masukan Gate TTL4.2.2.2 TTL Mengendalikan CMOS

Saat keluaran Gate TTL keadaan rendah VOL(maks) = 0,4 Volt akan diterima oleh masukan Gate CMOS (karena VIL CMOS = 1,5 Volt). Tetapi pada saat keluaran menjadi tinggi, VOH = 3,6 Volt sebenarnya cukup besar untuk masukan Gate CMOS (VIH = 3,5 Volt atau lebih), namun akan memotong noise margin sebesar 0,1 Volt yang tidak dikehendaki. Oleh karena itu disarankan menggunakan Resistor pull-up eksternal RP ke VCC untuk menaikkan VOH TTL menjadi + 5 Volt, seperti ditujukkan pada gambar 4.35.

Gambar 4.35 Resistor RP di Keluaran TTL yang mengendalikan masukan CMOS

4.2.3 Gate Transmisi (Saklar Dua-Arah) CMOS

Seperti halnya Tri-state pada IC TTL, dalam CMOS ada Gate transmisi atau saklar dua arah (bilateral), yaitu saklar kutub tunggal yang dikendalikan oleh level logika pada masukan Kontrolnya. Gate transmisi ini akan melewatkan sinyal (baik analog maupun) dalam dua arah.

Gambar 4.36 menunjukkan susunan dasar dari Gate transmisi tersebut, yang terdiri dari MOSFET kanal-P dan N dalam hubungan paralel, sehingga kedua polaritas tegangan masukan dapat ditukar. Masukan Kontrol (dan Inversenya) digunakan untuk meng-ON-kan (menutup) atau meng-OFF-kan (membuka) saklar. Apabila masukan Kontrol tinggi, maka kedua MOSFET akan ON (RON ( 100 () dan saklar tertutup. Sedangkan apabila masukan Kontrol rendah, maka kedua MOSFET akan OFF (ROFF ( 1010 () dan saklar terbuka.

Gambar 4.36 Gate Transmisi (Saklar Dua Arah) CMOS

4.4 Permasalahan4.4.1 Dua buah IC memiliki karakteristik seperti dibawah :

NoParameterICAICB

1VSupply5 Volt5 Volt

2VIH (min)1,8 Volt2 Volt

3VIL(maks)0,6 Volt0,8 Volt

4VOH (min)2,6 Volt2,4 Volt

5VOL (maks)0,5 Volt0,4 Volt

6IIH0,1 mA0,04 mA

7IIL3 mA2 mA

8IOH0,6 mA0,4 mA

9IOL21 mA17 mA

10tPLH18 ndetik7 ndetik

11tPHL12 ndetik5 ndetik

12PDis20 mW25 mW

a. IC manakah yang memiliki noise margin DC keadaan rendah dan tinggi terbaik?

b. IC manakah yang dapat bekerja pada frekuensi yang lebih tinggi ?

c. IC mana yang menyerap arus terbesar ?

d. Manakah yang lebih baik, ICA mengendalikan ICB atau sebaliknya ?

4.4.2 Lihatlah lembaran data IC 7400, 74L00, 74H00, 74S00 dan 74LS00. Hitung dan bandingkanlah FO, disipasi daya, penundaan perambatan, noise margin dan frekuensi operasinya !

4.4.3 Suatu rangkaian logika TTL mempunyai FO = 20, berapakah arus terbesar yang dapat diberikan pada keadaan tinggi ? dan berapakah arus yang dapat diserap pada keadaan rendah ?

4.4.4 Sebuah keluaran Gate Ex-OR 7486 digunakan untuk mengendalikan beberapa masukan Gate Nand 7420, seperti ditunjukkan pada gambar 4.37.

(a) Apakah FO keluaran 7486 tersebut terlampaui ?

(b) Tentukan waktu terpanjang untuk berubah dari masukan A sampai keluaran W ! Gunakan kondisi terburuk dan nilai maksimum dari penundaan perambatan Gate.

Gambar 4.37 Persoalan 4.4.4

4.4.5 Yang manakah cara-cara berikut untuk menangani masukan-masukan yang tidak digunakan pada Gate And, Or, Nor dan Ex-Or ?

(a) Dibiarkan terbuka

(b) Dihubungkan ke Ground

(c) Dihubungkan ke masukan lain yang digunakan

(d) Dihubungkan ke VCC melalui resistor 1 K(.

4.4.6 Sebuah PCB berisi IC TTL sebagai berikut : 4 IC 7473, 6 IC 7400, 2 IC 7404 dan 2 IC 74121. Berapakah besarnya Kapasitor yang dipasang di PCB untuk filter denyutan catu daya (Power supply) karena transien pensaklaran TTL ?

4.4.7 Sebutkan dua keuntungan menggunakan hubungan wired-AND ?

4.4.8 IC 7409 berisi 4 Gate And - 2 masukan dengan keluaran kolektor terbuka. Tunjukkan bagaimana 7409 dapat digunakan untuk menerapkan persamaan :

X = A.B.C.D.E.F.G.H.I.J.K.L

4.4.9 Tentukan ekspresi logika untuk keluaran X Gate Nand 7401 pada gambar 4.38 dan hitunglah nilai RC jika keluaran X mengendalikan beban 6 UL !

Gambar 4.38 Persoalan 4.4.9

4.4.10 Dapatkah fungsi yang sama rangkaian gambar 4.38 menggunakan IC 7400?

4.4.11 Gambar 4.39 menunjukkan Gate Nand Tri-state mengendalikan Gate Nand TTL Tentukan keluaran X untuk kondisi berikut :

(a) A = 0, B = 1, Enable = 0

(c) A = 1, B = 1, Enable = 1

(b) A = 1, B = 1, Enable = 0

(d) A = 0, B = 0, Enable = 1

Gambar 4.39 Persoalan 4.4.11

4.4.12 Tentukan Gate logika N-MOS gambar 4.40. Anggap logika 1 = + 16 V dan logika 0 = 0 V.

Gambar 4.40 Persoalan 4.4.124.4.13 Apakah keuntungan dan kerugian CMOS dibanding TTL ?

4.4.14 IC CMOS 4009 berisi 6 Buffer (Inverter). Apabila VDD = + 5 Volt, tentukan berapa banyak beban Gate Nand 7400 dapat dikendalikan 4009 dengan baik ! Ulangilah untuk beban 74LS00 !

4.4.15 Diagram rangkaian gambar 4.41 secara logika benar, tetapi paling tidak ada 7 kesalahan disain, carilah kesalahan tersebut !

Gambar 4.41 Persoalan 4.154.4.16 Tentukanlah fungsi logika yang dikerjakan rangkaian IIL pada gambar 4.42.

Gambar 4.42 Persoalan 4.4.16

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

(a) Dual In Line (DIL)

(b) Flatpack

(d) Pin Grid Array

(c) Surface mount

Gambar 4.1 Macam-macam Kemasan IC

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

Keadaan Operasi

Keluaran

VIN

- 1,7 V

logika 0

konduksi

- 0,8 V

logika 1

konduksi

EMBED MSPhotoEd.3

Gambar 4.6 IC Jenis IIL

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

Vcc

EMBED MSPhotoEd.3

Tegangan

Logika 1

Logika 1

Logika 0

Daerah

Tak tentu

VIH (min) = 2 Volt

VIL (maks) = 0,8 Volt

VOL (maks) = 0,4 Volt

VOH (min) = 2,4 Volt

Daerah

Tak tentu

Masukan

Logika 0

Keluaran

tP

t

Volt

4,5 V

5

2,5 V

0,5 V

0

tF

tR

tPHL

tPLH

Masukan 1

0

Keluaran 1

0

t

Logika 1

Logika 1

Logika 0

Daerah

Tak tentu

VOH (min)

VOL (maks)

VIL (maks)

VIH (min)

Daerah

Tak tentu

Tegangan

Keluaran

Logika 0

Masukan

VNL

VNH

EMBED MSPhotoEd.3

Masukan pada "1"

Noise

Margin

Masukan pada "0"

Lebar pulsa, tp (ndetik)

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

120 (

120 (

EMBED MSPhotoEd.3

Output

Input

VCC

120 (

4 k (

12 k (

3 k (

8 k (

20 k (

D1

D4

D5

1, 5 k (

Q5

D2

Q1

Q2

Q3

Q4

A

B

D3

D4

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

VCC

EMBED MSPhotoEd.3

Keadaan Rendah

Keadaan Tinggi

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

Tidak dihubungkan / dibiarkan terbuka

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

tr dan tf > 1 (det

Rangkaian

Schmitt

Trigger

tr , tf

(10 ndet

EMBED MSPhotoEd.3

C beban

EMBED MSPhotoEd.3

Masukan

+ 5 V

EMBED MSPhotoEd.3

+ 5 V

EMBED MSPhotoEd.3

RC

Eksternal

Output

Output

Input

Penambahan Resistor Pull-up Eksternal

Rangkaian Logika TTL Keluaran Kolektor Terbuka

Gambar 4.27 TTL Keluaran Kolektor Terbuka (Output Open Collector)

+ 5 V

EMBED MSPhotoEd.3

Gate-Gate Open Collector

(Eksternal)

Input

Output =

Simbol hubungan Wired-AND

EMBED MSPhotoEd.3

+ 5 V

ke

4 UL

Output

EMBED MSPhotoEd.3

Input Kontrol

Keadaan ON

VGS = + 5 V

Keadaan OFF

VGS = 0 V

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

+ 16 V

+

(

Keadaan ON

VGS = + 5 V

Keadaan OFF

VGS = 0 V

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

Saklar tertutup apabila Control tinggi

EMBED MSPhotoEd.3

Semua Gate NAND adalah IC 7420

W

EMBED MSPhotoEd.3

Semua Gate NAND adalah IC TTL 7401 (Open Collector)

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

EMBED MSPhotoEd.3

5 V

Dari

Keluaran

7473

Tidak digunakan

ke

semua

IC

Catu Daya

+ 5 V @ 150 mA

EMBED MSPhotoEd.3

0 = hubungan singkat (R rendah) ke Ground

1 = hubungan terbuka (R tinggi) ke Ground

42PAGE

_1081415069.bin

_1082789536.bin

_1083078826.unknown

_1083394786.bin

_1084627320.bin

_1084684842.bin

_1083991827.bin

_1083992900.bin

_1083987648.bin

_1083078904.unknown

_1082791316.bin

_1082815157.bin

_1082816138.bin

_1082816616.bin

_1082814881.bin

_1082789781.bin

_1081670571.bin

_1081676899.bin

_1081752537.unknown

_1081678378.bin

_1081673311.bin

_1081675126.bin

_1081673643.bin

_1081673918.bin

_1081672176.bin

_1081672427.bin

_1081671814.bin

_1081668595.bin

_1081669255.bin

_1081669412.bin

_1081668800.bin

_1081666045.bin

_1081666225.bin

_1081668270.bin

_1081415591.bin

_1081409780.bin

_1081411123.bin

_1081412039.bin

_1081413644.bin

_1081411615.bin

_1081410399.bin

_1081410855.bin

_1081410154.bin

_1081172401.bin

_1081408460.bin

_1081409414.bin

_1081408760.bin

_1081173872.bin

_1031560114.unknown

_1081152607.bin

_1081155060.bin

_1031411618.unknown

_1031411646.unknown

_1030979607.unknown